CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 方波 vhdl

搜索资源列表

  1. VHDL

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9404
    • 提供者:zhanyi
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DDS

    0下载:
  2. 基于quartus的DDS,可以发生正弦波,方波,三角波,附带了顶层文件,注释在程序中-Quartus on the DDS, can occur sine wave, square wave, triangle wave, with the top-level documents, notes in the procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:77667
    • 提供者:ivan
  1. xinhaofashengqi

    0下载:
  2. 利用VHDL语言实现的多种波形信号包括方波、正弦波、脉冲信号的波形发生器-xinhaofashengqi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4035276
    • 提供者:前沿部
  1. FINALWORK

    0下载:
  2. 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:577
    • 提供者:tank tan
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. jietifangbo

    1下载:
  2. 用计数器来完成阶梯拨程序设计以及方波的程序设计-With counters to complete the ladder as well as the allocation of programming procedures square design
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-09
    • 文件大小:29575
    • 提供者:小草
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. FPGAboxin

    0下载:
  2. FPGA实现波形产生模块能产生正弦,方波,锯齿,三角波的产生,频率可调-fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:257647
    • 提供者:李可
  1. zuoye2

    0下载:
  2. vhdl语言实现阶梯方波发生器 周期为8 -Step square-wave generator
  3. 所属分类:software engineering

    • 发布日期:2017-05-13
    • 文件大小:3584322
    • 提供者:glorygo
  1. VHDL

    0下载:
  2. 利用VHDL实现任意函数发生器,包括方波、正弦波、三角波等。-The use of VHDL to achieve arbitrary function generator, including square, sine wave, triangle wave and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:40212
    • 提供者:陈海巍
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. VHDLfangbo

    0下载:
  2. 通过VHDL语言实现一个方波,代码里含有两个进程,一个分频一个输出方波。里面含有两个代码,可以根据需要参考-VHDL language through a square wave, the code will contain two processes, a frequency of a square wave output. Which contains two code, you can reference as needed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:756
    • 提供者:常云飞
  1. squart

    0下载:
  2. 用VHDL描述一个方波发生器 有原理 请参考-a squart-singnal production is discribed by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:505446
    • 提供者:王鹏
  1. VHDL测频率周期

    0下载:
  2. 用VHDL语言编写的频率计程序,用来测量方波的频率以及周期。
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-13
    • 文件大小:602026
    • 提供者:1577145788
  1. VHDL方波

    0下载:
  2. 在Quartus II 中,利用VHDL 语言产生方波,程序如下(The VHDL language produces Fang Bo)
  3. 所属分类:其他

    • 发布日期:2018-01-10
    • 文件大小:10240
    • 提供者:天空白云
« 12 3 4 5 6 »
搜珍网 www.dssz.com